CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl codes

搜索资源列表

  1. xilinx_sdcontroller

    0下载:
  2. xilinx公司的sdram控制器代码及说明文件-sdram controller of xilinx, codes and notes
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:341155
    • 提供者:孙磊
  1. ac97controller

    0下载:
  2. ac`97 controller verilog codes-ac `97 controller verilog codes
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:16447
    • 提供者:fxs
  1. Multiplexre_Examples

    0下载:
  2. vhdl codes for representing multiplexer using diffrent methods
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1932
    • 提供者:Muftah
  1. alu

    0下载:
  2. ALU modeling verilog codes and testbench
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:546176
    • 提供者:neorome
  1. report-hex-keypad-debouncer

    0下载:
  2. Quartus Verilog HDL, complete document, having schematics, flowcharts, and Verilog codes for various modules for implementing a hex-keypad, including the important code of DEBOUNCER
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:797697
    • 提供者:ak
  1. daima

    0下载:
  2. 用VHDL语言设计一个8位加法器: 在八位加法器代码一中:加法器是由两个4位二进制加法器U1和U2组成的8位加法器逻辑电路,其中U1用来装载8位加法器中两个加数的低4位,而U2则用来装载高4位。在设计4位加法器时,定义输入信号量CIN、A、B以及输出信号量S、Cout。定义信号量SINT/AA/BB,将加数A和0并置后赋给AA,加数B和0并置后赋给BB,形成5位二进制数,这是为在做加法时发生溢出所做的处理,然后将加数AA与BB以及进位Cin相加赋给SINT,并将SINT的低4位赋给加数和S输
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:8994
    • 提供者:SAM
  1. veri_adder

    0下载:
  2. verilog VHDL codes for adders
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:177836
    • 提供者:bharath
  1. VHDl

    0下载:
  2. Its a ALU code for the mathematical computations.It also has many other codes.
  3. 所属分类:Compiler program

    • 发布日期:2017-04-03
    • 文件大小:5354
    • 提供者:D S Manjunath
  1. VHDL2

    0下载:
  2. Here i have uploaded many other VHDL codes.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:6494
    • 提供者:D S Manjunath
  1. Chuongtrinh_mau

    0下载:
  2. vhdl codes examples-vhdl codes examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4134878
    • 提供者:chicken09
  1. pspro

    0下载:
  2. 计算机所用的键盘就是ps接口键盘,本设计是基于FPGA的ps2键盘接口设计,所用的编程语言是VHDL语言,已经通过了仿真,可以很好的实现功能-ps2keyboard interface with VHDL codes and it has useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:646
    • 提供者:microeric
  1. MAC_MP3_Hardware

    0下载:
  2. MPeg audio encoder/decoder codes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4527856
    • 提供者:prass
  1. VHDL_Codes

    0下载:
  2. vhdl codes of basic components
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:3758976
    • 提供者:Vampiro
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. usb_model

    0下载:
  2. usb接口model原码设计,可以模拟USB的接口数据接收,用于usb接口数据的仿真.-usb interface model of the original codes designed to simulate USB interface data reception, usb interface data for the simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1497
    • 提供者:yanxp
  1. DCTPROGRAM.ZIP

    0下载:
  2. it is verilog code for two dimentional dct
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2016-06-14
    • 文件大小:18100
    • 提供者:suhu
  1. v74161

    0下载:
  2. This file is the implementation of 74161 in VHDL codes and has the ability to synthesized.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:212659
    • 提供者:HM
  1. v74169

    0下载:
  2. This file is the implementation of 74169 in VHDL codes and has the ability to synthesized.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:153355
    • 提供者:HM
  1. Combinational_Divider_in_FPGA

    0下载:
  2. Three VHDL codes for combinational divider with implementation results for Xilinx Spartan FPGAs-Three VHDL codes for combinational divider with implementation results for Xilinx Spartan FPGAs
  3. 所属分类:software engineering

    • 发布日期:2017-04-04
    • 文件大小:21859
    • 提供者:Jaromir
  1. vhdl_programs_doc

    0下载:
  2. A lot of VHDL codes that can help beginners in that language.
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:251181
    • 提供者:Eng
« 1 2 3 45 6 7 8 9 10 »
搜珍网 www.dssz.com